Skip to contents

  1. Bertacco, Valeria [Organizer] ; ACM/EDAC/IEEE Design Automation Conference 54. 2017 Austin, Tex, Institute of Electrical and Electronics Engineers, Association for Computing Machinery, Electronic Design Automation Consortium

    Proceedings of the 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC)

    Books
    View online
    Close

    Bookmarks

    You can manage bookmarks using lists, please log in to your user account for this.

    Piscataway, NJ: IEEE, 2017

  2. Chang, Naehyuck [Organizer]; Bertacco, Valeria [Organizer] ; Design Automation Conference 53. 2016 Austin, Tex, Association for Computing Machinery, Electronic Design Automation Consortium, Institute of Electrical and Electronics Engineers, Institute of Electrical and Electronics Engineers

    Proceedings of the 53rd ACM/EDAC/IEEE Design Automation Conference (DAC)

    Books
    View online
    Close

    Bookmarks

    You can manage bookmarks using lists, please log in to your user account for this.

    Piscataway, NJ: IEEE, 2016