• Media type: E-Article
  • Title: SimpliFI: Hardware Simulation of Embedded Software Fault Attacks
  • Contributor: Grycel, Jacob; Schaumont, Patrick
  • imprint: MDPI AG, 2021
  • Published in: Cryptography
  • Language: English
  • DOI: 10.3390/cryptography5020015
  • ISSN: 2410-387X
  • Keywords: Applied Mathematics ; Computational Theory and Mathematics ; Computer Networks and Communications ; Computer Science Applications ; Software
  • Origination:
  • Footnote:
  • Description: <jats:p>Fault injection simulation on embedded software is typically captured using a high-level fault model that expresses fault behavior in terms of programmer-observable quantities. These fault models hide the true sensitivity of the underlying processor hardware to fault injection, and they are unable to correctly capture fault effects in the programmer-invisible part of the processor microarchitecture. We present SimpliFI, a simulation methodology to test fault attacks on embedded software using a hardware simulation of the processor running the software. We explain the purpose and advantage of SimpliFI, describe automation of the simulation framework, and apply SimpliFI on a BRISC-V embedded processor running an AES application.</jats:p>
  • Access State: Open Access