• Media type: E-Article
  • Title: Study on the Implementation of a Simple and Effective Memory System for an AI Chip
  • Contributor: Kim, Taepyeong; Park, Sangun; Cho, Yongbeom
  • Published: MDPI AG, 2021
  • Published in: Electronics, 10 (2021) 12, Seite 1399
  • Language: English
  • DOI: 10.3390/electronics10121399
  • ISSN: 2079-9292
  • Keywords: Electrical and Electronic Engineering ; Computer Networks and Communications ; Hardware and Architecture ; Signal Processing ; Control and Systems Engineering
  • Origination:
  • Footnote:
  • Description: <jats:p>In this study, a simple and effective memory system required for the implementation of an AI chip is proposed. To implement an AI chip, the use of internal or external memory is an essential factor, because the reading and writing of data in memory occurs a lot. Those memory systems that are currently used are large in design size and complex to implement in order to handle a high speed and a wide bandwidth. Therefore, depending on the AI application, there are cases where the circuit size of the memory system is larger than that of the AI core. In this study, SDRAM, which has a lower performance than the currently used memory system but does not have a problem in operating AI, was used and all circuits were implemented digitally for simple and efficient implementation. In particular, a delay controller was designed to reduce the error due to data skew inside the memory bus to ensure stability in reading and writing data. First of all, it verified the memory system based on the You Only Look Once (YOLO) algorithm in FPGA to confirm that the memory system proposed in AI works efficiently. Based on the proven memory system, we implemented a chip using Samsung Electronics’ 65 nm process and tested it. As a result, we designed a simple and efficient memory system for AI chip implementation and verified it with hardware.</jats:p>
  • Access State: Open Access