• Medientyp: Buch
  • Titel: Modern circuit placement : best practices and results
  • Enthält: Benchmarks : ISPD 2005/2006 placement benchmarks -- Locality and utilization in placement suboptimality -- Flat placement techniques : DPlace : anchor cell-based quadratic placement with linear objective -- Kraftwerk : a fast and robust quadratic placer using an exact linear net model -- Top-down partitioning-based techniques : Capo : congestion-driven placement for standard-cell and RTL netlists with incremental capability -- Congestion minimization in modern placement circuits -- Multilevel placement techniques : APlace : a high quality, large-scale analytical placer -- FastPlace : an efficient multilevel force-directed placement algorithm -- mFAR : multilevel fixed-points addition-based VLSI placement -- mPL6 : enhanced multilevel mixed-size placement with congestion control -- NTUplace3 : an analytical placer for large-scale mixed-size designs -- Conclusion and challenges.
  • Beteiligte: Nam, Gi-Joon [Hrsg.]; Cong, Jason [Sonstige Person, Familie und Körperschaft]
  • Erschienen: New York, NY: Springer, 2007
  • Erschienen in: Series on integrated circuits and systems
  • Umfang: XX, 321 S.; Ill., graph. Darst
  • Sprache: Englisch
  • ISBN: 9780387687391; 038736837X; 9780387368375
  • RVK-Notation: ZN 4950 : Schaltungen der Grossintegration (LSI)
    ZN 4904 : Schaltungsentwurf
  • Schlagwörter: Integrierte Schaltung > VLSI > Layout
  • Entstehung:
  • Anmerkungen:
  • Beschreibung: Benchmarks : ISPD 2005/2006 placement benchmarks -- Locality and utilization in placement suboptimality -- Flat placement techniques : DPlace : anchor cell-based quadratic placement with linear objective -- Kraftwerk : a fast and robust quadratic placer using an exact linear net model -- Top-down partitioning-based techniques : Capo : congestion-driven placement for standard-cell and RTL netlists with incremental capability -- Congestion minimization in modern placement circuits -- Multilevel placement techniques : APlace : a high quality, large-scale analytical placer -- FastPlace : an efficient multilevel force-directed placement algorithm -- mFAR : multilevel fixed-points addition-based VLSI placement -- mPL6 : enhanced multilevel mixed-size placement with congestion control -- NTUplace3 : an analytical placer for large-scale mixed-size designs -- Conclusion and challenges

Exemplare

(0)
  • Status: Ausleihbar