• Medientyp: E-Artikel
  • Titel: Low power synthesis for asynchronous FIFO using unified power format (UPF)
  • Beteiligte: Yadlapati, Avinash; Hari Kishore, K
  • Erschienen: Science Publishing Corporation, 2018
  • Erschienen in: International Journal of Engineering & Technology
  • Sprache: Nicht zu entscheiden
  • DOI: 10.14419/ijet.v7i2.8.10315
  • ISSN: 2227-524X
  • Schlagwörter: Hardware and Architecture ; General Engineering ; General Chemical Engineering ; Environmental Engineering ; Computer Science (miscellaneous) ; Biotechnology
  • Entstehung:
  • Anmerkungen:
  • Beschreibung: <jats:p>Low power Design is the challenge for the current SoC Designers. With the growing complexity of the chips and the shrinking technology, power consumption in ASIC’s has become a major challenge for the ASIC Engineer. The low power challenge is at every level of the ASIC Design flow. The low power techniques are applies at the Micro architecture level, RTL Design Level, Functional Verification level, Logic Synthesis level, Design for Test level, and Physical Design level. Nowadays, with the complexity gradually increasing at the SoC level, some of the EDA companies like Synopsys and Cadence are integrating the low power techniques in the tool itself. For instance, the two most commonly used low power flows are Unified Power Format (UPF) and Common Power Format (CPF). The Unified power format is from Synopsys flow while the Common Power format is from Cadence flow. In this paper, the emphasis is on reducing power by taking an Asynchronous FIFO with two separate clocks and applying the Unified power format flow in it. This paper presents the results of the research reported by the Synopsys Design Compiler before applying the UPF flow and after applying the UPF flow.</jats:p>
  • Zugangsstatus: Freier Zugang