• Medientyp: E-Artikel
  • Titel: Wetting Behavior of Plasma Etch Residue Removal Solutions on Plasma Damaged and Repaired Porous ULK Dielectrics
  • Beteiligte: Ahner, Nicole; Zimmermann, Sven; Köhler, Nicole; Krüger, Stephan; Schulz, Stefan E.
  • Erschienen: Trans Tech Publications, Ltd., 2014
  • Erschienen in: Solid State Phenomena
  • Sprache: Nicht zu entscheiden
  • DOI: 10.4028/www.scientific.net/ssp.219.193
  • ISSN: 1662-9779
  • Schlagwörter: Condensed Matter Physics ; General Materials Science ; Atomic and Molecular Physics, and Optics
  • Entstehung:
  • Anmerkungen:
  • Beschreibung: <jats:p>Porous ultra low constant materials (ULK) for isolation within the interconnect system of integrated circuits are a promising approach to reduce crosstalk and RC-delays due to shrinking feature sizes [1]. Due to their porosity and the integration of carbon rich species like methyl groups into the Si-O-Si backbone of currently fabricated PECVD SiCOH dielectrics those materials are highly sensible towards plasma processing, e.g. dry etching or resist stripping [2]. Metal hard mask approaches, e.g. using TiN hard masks are widely used to prevent the resist stripping plasma directly attacking the low-k material [3]. To reduce further plasma damage like carbon depletion and formation of polar silanol groups the development of less aggressive etching processes is in the focus of research and development activities. Nevertheless dry etching will attack the sidewalls and cause a material degradation. That is why repair processes, mainly based on silylation, are considered to follow the patterning step to reintegrate carbon rich species and to recover the dielectric’s properties [3]. Subsequently to dry etching and repairing the dielectric the wet chemical plasma etch residue removal process is performed. Besides material compatibility and effectiveness in residue removal the wetting behavior of the applied cleaning solutions towards the surface which has to be cleaned is crucial, especially looking on wetting issues like the incomplete wetting of very small via holes or pattern collapse. In this study we investigate in which way different silylation based repair processing regimes are affecting the wettability of the dielectric by water based cleaning solutions using contact angle based surface energy calculations.</jats:p>